Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

Lightweight VHDL simulator in Windows

Tags:

vhdl

I tried Vivado and Quartus, but both of them are quite heavy, and the tools are very complicated for a starter. Is there a lightweight free IDE + Simulator for a starter who is learning VHDL?

like image 236
mommomonthewind Avatar asked Aug 15 '14 08:08

mommomonthewind


People also ask

Are there any free VHDL simulators?

Professional VHDL simulators are more expensive than most individuals can afford. But fortunately, there are many free and legal alternatives that you can download and install. Microsoft Windows is the easiest to install on, but many simulators also have a Linux version. FPGA vendors usually only support the Red Hat Linux distribution.

Is there a free version of ModelSim VHDL?

Licenses for VHDL simulators are very costly, but luckily, several free and legal versions of the ModelSim VHDL simulator are available. Click here for a list of free VHDL simulator installation options for Windows, Linux, or Mac.

What is the best VHDL compiler?

GHDL is a fully open-source VHDL compiler and simulator which is nearly 20 years old. A community of developers on Github maintains the GHDL code base and regularly releases new updates. We can use GHDL on Windows, macOS, or Linux-based operating systems. GHDL currently offers full support for the VHDL-87, 93, and 2002 standards.

Are there any free VHDL and FPGA tools?

Although VHDL and FPGA tools are often very expensive, it is easy to access state-of-the-art software for free if you are a student. There are several simulators, editors, and IDEs for working with VHDL. This article shows you how to install two of the most popular programs used by VHDL engineers.


2 Answers

VHDL-Simulation:

There are ports of the linux programs GHDL and GTKwave for windows:

  • https://github.com/ghdl/ghdl/releases
  • http://gtkwave.sourceforge.net/
  • http://gtkwave.sourceforge.net/gtkwave.pdf

GHDL is a command line tool to compile VHDL files and "simulate" them. the output is a waveform file, which can be viewed/inspected by gtkwave. You can write some scripts (I would advice PowerShell) to automate the compilation or you can use a build tool.

In my opinion (Quartus) ModelSim / QuestaSim is not lightweight. The Xilinx simulators iSim (included in ISE) and xSim (included in Vivado) are good enough for every day simulations. ghdl is very fast, but does not support all (advanced) VHDL features.

VHDL-IDEs:

If you are familiar with state-of-the art coding environments like Visual Studio, SharDevelop, MonoDevelop, Eclipse or NetBeans then I would say coding HDLs is still in the stone-age !!

All big vendors offer free HDL toolchains, which are paided by the devices, but these tools are only multi-tabbed text editors with a design hierarchy viewer and some buttons to hide the command line tool executions.

The mentioned Sigasi Eclipse plugin (there is also e free version) is new on the market and has some advantages. This plugin is under constant development and still increasing in its features. I tested several versions in the past, but I'm not satisfied with some features so I'm still using ISE :)

As a students I have not the chance to try some professional tools from Aldec, Mentor, ...

like image 187
Paebbels Avatar answered Oct 03 '22 08:10

Paebbels


For simulation, ModelSim-Altera Starter Edition is a free version of ModelSim provided by Altera, and is very user friendly and widely used. It has a build in editor with VHDL color coding, so you can do editing, compile, and simulation from within ModelSim.

Vivado (Xilinx) and Quartus (Altera) are synthesis tools, which can transform your VHDL design files into a hardware representation that can be downloaded into an FPGA. These synthesis tools are used for step two, after a design has been created and simulated to verify proper operation.

like image 26
Morten Zilmer Avatar answered Oct 03 '22 09:10

Morten Zilmer