Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

Time stamp in VHDL

Tags:

vhdl

fpga

is there any function in VHDL which is used to get current simulation time at which a process is running? May be same like the function in systemC sc_time_stamp()

like image 754
Digeek Avatar asked Dec 03 '13 15:12

Digeek


People also ask

What is NOW in VHDL?

now is a purely simulation only construct, which tells you the simulation time to whatever resolution the simulator is set to.


1 Answers

Yes there is. Use the keyword now.

You can print the simulation time using VHDL Attributes:

report "current time = " & time'image(now);

You can also store the current time to a variable:

variable v_TIME : time := 0 ns;
v_TIME := now;
-- STUFF HAPPENS
v_TIME := now - V_TIME; --used to find delta time
like image 75
Russell Avatar answered Oct 09 '22 00:10

Russell