Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

Comparing FPGA with ASIC design

Tags:

fpga

I have a fundamental question. I produced some FPGA image for some media application and now I would like to compare my results to the ones of ASIC implementation of the same algorithm in terms of performance & area. I have heard such a comparasion does not make sense since it is somewhat comparing apples and oranges. But I have heard about the Gate-equivalence metric, cant I use this for comparison reasons?

Thanks

like image 286
Robert Avatar asked Feb 17 '10 13:02

Robert


People also ask

What are the advantages of FPGA based design over ASIC design?

There are advantages of using an FPGA over a microprocessor like an application-specific integrated circuit (ASIC) in a prototype or in limited production designs. Those benefits are that they are very flexible, reusable, and quicker to acquire.

How much faster is ASIC vs FPGA?

Those are the drawbacks. What about the benefits? ASIC technology offers higher speeds and lower power solutions beyond what an FPGA can provide. Speed differences between the two design methods can easily be 10x or more.

Can FPGA be faster than ASIC?

FPGA boasts a faster time to market than ASIC. compared to ASIC, FPGAs have shorter design cycles as they are not associated with the need for layouts or back end processes that generally take up much more time when it comes to the development of ASICs.

What are the advantages and disadvantages of FPGA technology over ASIC?

FPGA have a lower associated development cost than an ASIC. Whilst an ASIC can perform the same operations as an FPGA and are specific to the application, they cannot be reprogrammed. FPGA will have a lower time-to-market than an ASIC and also will have a lower non-recurring engineering (NRE) cost.


1 Answers

As has been pointed out, gate equivalents are only a rough guesstimate and not all that accurate for determining area in an ASIC. There are different of ways you can go about finding out how your design would perform (and cost) in an ASIC. You likely used an HDL (VHDL or Verilog) to implement your design. If you have access to a synthesis tool like Synopsys' Design Compiler (DC) you can use that with one of the supplied ASIC vendor libraries to determine area. You can also use it to generate a post-synthesis, gate-level netlist that you can use in simulation to determine performance. DC will also give you information about critical path timing, etc. that can be used to calculate performance as well.

However, DC is a very expensive product and you likely used FPGA vendor supplied tools to synthesize your HDL design. You could approach an ASIC vendor and ask them to analyze your design to determine size & performance (they would likely use DC - you'd have to be willing to hand your HDL over to them). They may be inclined to do this in order to win your business. But as has been pointed out ASIC NREs are very expensive, so unless you have a high-volume product it probably doesn't make sense to move your design to an ASIC.

like image 80
aneccodeal Avatar answered Oct 12 '22 12:10

aneccodeal