Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

What to use to compile and simulate Verilog programs on Mac OS X 10.6.8?

Tags:

macos

verilog

hdl

I am required to simulate Verilog programs as part of my syllabus. But, my college uses Xilinx ISE, and it isn't available for Mac.

So please help me out with the best software and also some detailed steps on how to install and use them.

like image 392
BharathYes Avatar asked Oct 14 '13 21:10

BharathYes


People also ask

How do I compile a Verilog file?

2.3 Compile the Verilog fileAfter writing the code, go to the Project tab and right-click on the file in use. From the menu select <Compile à Compile Selected>, and note the Transcript window and the file status.

Does Verilog work on Mac?

Icarus Verilog can be easily installed on Mac OS using MacPorts, Homebrew or Fink.

Which software is used for SystemVerilog?

The modern version of the NCsim family, called Incisive Enterprise Simulator, includes Verilog, VHDL, and SystemVerilog support. It also provides support for the e verification language, and a fast SystemC simulation kernel.


1 Answers

You could try Icarus Verilog, which is a free Verilog simulator.

According to the instructions here you can install Icarus Verilog on Mac OS X.

like image 57
dwikle Avatar answered Oct 01 '22 18:10

dwikle