Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

How do I get rid of sensitivity list warning when synthesizing Verilog code?

I am getting the warning that:

One or more signals are missing in the sensitivity list of always block.

always@(Address)begin
  ReadData = instructMem[Address];
end

How do I get rid of this warning?

like image 252
aherlambang Avatar asked Feb 28 '23 04:02

aherlambang


1 Answers

Verilog does not require signal names in the sensitivity list. Use the @* syntax to signify that the always block should be triggered whenever any of its input signals change:

always @* begin 
    ReadData = instructMem[Address]; 
end 
like image 81
toolic Avatar answered May 08 '23 22:05

toolic