Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

verilog modelsim fpga

Sorry for Newbish question.

I am trying to learn about FPGA programming.

Before I spend $1K on a FPGA board:

if I just want to learn Verilog, can I run it entirely in Modelsim? (I realize there are some timing issues that only show up in actual chips; but for learning syntax / style of coding / ...)_

Thanks!

like image 911
anon Avatar asked Dec 29 '22 01:12

anon


2 Answers

You can of course!

However, there are a few things that a simulator will let you away with that the FPGA compiler will not. Have a $FAVOURITE_SEARCH_ENGINE around for keywords like verilog coding styles synthesis.

See Resources for learning Verilog for some info on the differences between HDL programming and computer programming.

like image 85
Marty Avatar answered Jan 10 '23 06:01

Marty


You most definitely don't need to splashout on a dev board if you are happy with just learning the language and simulating the results.

You can get the free Xilinx ISE Webpack which includes a basic version of ModelSim.

As you have mentioned simulation and testbenching is one aspect of FPGA design. Actually getting a design to work on real hardware is usually the more challenging part. However, just using software you can learn the language, get to grips with simulation and even synthesize your design to make sure it will meet timing and fit on a target device.

I would also suggest that $1K for your first dev board is quite high. I would start with something like the low-cost Xilinx Spartan-6 board, which is a tad under $300. You'll get a device with a decent amount of logic, memory and DSP slices for that.

like image 42
Binary Nerd Avatar answered Jan 10 '23 05:01

Binary Nerd