Logo Questions Linux Laravel Mysql Ubuntu Git Menu
 

Setting up a SystemC project with CMake: undefined reference to `sc_core

Tags:

cmake

systemc

I'm trying to build a simple hello world in SystemC with CMake.

Here's the SystemC file main.cpp:

#include <systemc.h>

using namespace std;

SC_MODULE (hello_world) {
  SC_CTOR (hello_world) {
  }

  void say_hello() {
    cout << "Hello World SystemC" << endl;
  }
};

int sc_main(int argc, char* argv[]) {
  hello_world hello("HELLO");
  hello.say_hello();
  return(0);
}

Here is the CMakeLists.txt:

cmake_minimum_required(VERSION 3.1)
project(SystemCExample)

set (CMAKE_PREFIX_PATH /usr/local/systemc-2.3.2)

include_directories(${CMAKE_PREFIX_PATH}/include)

find_library(systemc systemc ${CMAKE_PREFIX_PATH}/lib-linux64)
link_directories(${CMAKE_PREFIX_PATH}/lib-linux64)

set(CMAKE_CXX_STANDARD 11) # C++11...

set(CMAKE_CXX_STANDARD_REQUIRED ON) #...is required...

set(CMAKE_CXX_EXTENSIONS OFF) #...without compiler extensions like gnu++11

aux_source_directory(. SRC_LIST)
add_executable(${PROJECT_NAME} ${SRC_LIST})

target_link_libraries(SystemCExample systemc)

I keep getting the error:

/usr/local/systemc-2.3.2/include/sysc/kernel/sc_ver.h:179: error: undefined reference to `sc_core::sc_api_version_2_3_2_cxx201103L<&sc_core::SC_DISABLE_VIRTUAL_BIND_UNDEFINED_>::sc_api_version_2_3_2_cxx201103L(sc_core::sc_writer_policy)'

It points to sc_ver.h to the line:

api_version_check
(
  SC_DEFAULT_WRITER_POLICY
);

The error mesage appears also when I replace the main.cpp with another simple example. How can I fix it?

like image 505
Sadik Avatar asked Oct 22 '17 15:10

Sadik


1 Answers

Most likely you've built SystemC with C++98. It is default. Currently it requires that you use same C++ standard during library build and for your application.

Here are steps to build SystemC 2.3.2 with CMake

  1. Download SystemC 2.3.2, unpack, change directory to systemc-2.3.2

    cd /path/to/systemc-2.3.2

  2. Create build directory:

    mkdir build

  3. Configure SystemC build with C++11 support. I also recommend to build it in Debug mode, this helps while learning. Later you can switch to Release builds to speed-up simulation

    cmake ../ -DCMAKE_CXX_STANDARD=11 -DCMAKE_BUILD_TYPE=Debug

  4. Build

    cmake --build .

CMake will automatically export SystemC library targets to User Package Registry: https://cmake.org/cmake/help/v3.0/manual/cmake-packages.7.html#user-package-registry

Optionally you can install it somewhere, read CMake manual to learn how.

Now try to create sample SystemC project:

main.cpp

#include <systemc.h>

SC_MODULE (hello_world) {
    SC_CTOR (hello_world)
    {
        SC_THREAD(say_hello);
    }

    void say_hello()
    {
        cout << "Hello World SystemC" << endl;
    }

};

int sc_main(int argc, char* argv[])
{
    hello_world hello("HELLO");
    sc_start();

    return (0);
}

CMakeLists.txt

cmake_minimum_required(VERSION 3.0)
project(test_systemc)

find_package(SystemCLanguage CONFIG REQUIRED)
set (CMAKE_CXX_STANDARD ${SystemC_CXX_STANDARD})

add_executable(test_systemc main.cpp)
target_link_libraries(test_systemc SystemC::systemc)

Build, run, expected output:

./test_systemc

        SystemC 2.3.2 --- Oct 14 2017 19:38:30
        Copyright (c) 1996-2017 by all Contributors,
        ALL RIGHTS RESERVED
Hello World SystemC
like image 109
random Avatar answered Jun 10 '23 14:06

random